“报告”使用范围很广,按照上级部署或工作计划,每完成一项任务,一般都要向上级写报告,反映工作中的基本情况、工作中取得的经验教训、存在的问题以及今后工作设想等,以取得上级领导部门的指导。那么什么样的报告才是有效的呢?下面是小编帮大家整理的最新报告范文,仅供参考,希望能够帮助到大家。
51单片机四路抢答器程序设计 单片机四路抢答器实训报告篇一
学校:诚毅学院
专业:计算机0991 指导老师:刘扬
课题:四路抢答器 姓名:
乔飞
学号:2009957007
多路智能竞赛抢答器设计
一、设计内容及要求:
设计内容:本课题要求设计一台可供4名选手参加比赛的智力竞赛抢答器。设计要求: 1.基本功能
(1)抢答器同时供4名选手或4个代表队比赛,分别用4个按钮s0 ~ s3表示。(2)设置一个系统清除和抢答控制开关s,该开关由主持人控制。用来控制系统清零(编号显示数码管灭灯)和抢答的开始。
(3)抢答器具有锁存与显示功能。即抢答开始后,选手按动按钮,锁存相应的编号,并在编号显示器上显示该编号。同时封锁输入编码电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清除为止。2.扩展功能(选做)
(1)抢答器具有定时抢答功能。要求定时器开始倒计时,并用定时显示器显示倒计时时间,同时扬声器发出音响,音响持续0.5秒。
(2)参赛选手在设定时间(9秒)内抢答,抢答有效,扬声器发出音响,音响持续0.5秒,同时定时器停止倒计时,编号显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。
(3)如果定时抢答时间已到,却没有选手抢答时,本次抢答无效。系统扬声器报警(音响持续0.5秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。
二、抢答器的组成框图
定时抢答器的总体框图如图1所示,它由主体电路和扩展电路两部分组成。主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答键时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答。扩展电路完成定时抢答的功能。
图1所示的定时抢答器的工作过程是:接通电源时,节目主持人将开关置于“清除”位置,抢答器处于禁止工作状态,编号显示器灭灯,定时显示器显示设定的时间,当节目主持人宣布抢答题目后,说一声“抢答开始”,同时将控制开关拨到“开始”位置,扬声器给出声响提示,抢答器处于工作状态,定时器倒计时。当定时时间到,却没有选手抢答时,系统报警,并封锁输入电路,禁止选手超时后抢答。当选手在定时时间内按动抢答键时,抢答器要完成以下四项工作: ① 优先编码电路立即分辨出抢答者的编号,并由锁存器进行锁存,然后由译码显示电路显示编号;
② 扬声器发出短暂声响,提醒节目主持人注意;
③ 控制电路要对输入编码电路进行封锁,避免其他选手再次进行抢答; ④ 控制电路要使定时器停止工作,时间显示器上显示剩余的抢答时间,并保持到主持人将系统清零为止。当选手将问题回答完毕,主持人操作控制开关,使系统回复到禁止工作状态,以便进行下一轮抢答。
抢抢抢抢抢抢抢抢抢抢抢抢抢抢抢抢抢抢抢抢抢抢抢抢抢抢抢抢抢抢抢抢抢抢抢抢抢抢抢抢抢抢抢抢抢抢抢抢抢抢抢抢抢抢抢抢抢抢抢抢抢抢抢 图1 定时抢答器总体框图
三、电路设计
选定设计方案,先列出总体框图,分模块设计。分模块电路设计、功能测试完成后,再把各个组成部分整体测试。先在multisim上仿真,验证原理图的正确性,再在面包板上做出抢答器实物。
图1 抢答电路
1、抢答电路设计
抢答电路的功能有两个:一是能分辨出选手按键的先后,并锁存优先抢答者的编号,供译码显示电路用;二是要使其他选手的按键操作无效。选用优先编码器74ls148和rs锁存器74ls279可以完成上述功能。
查看优先编码器74ls148和rs锁存器74ls279的功能表和引脚图等使用资料。也可以选用其他的器件完成此功能。
工作过程:开关s置于“清除”端时,rs触发器的 端均为0,4个触发器输出置0,使74ls148的 =0,使之处于工作状态。当开关s置于“开始”时,抢答器处于等待工作状态,当有选手将键按下时(如按下s5),74ls148的输出 经rs锁存后,1q=1, =1,74ls48处于工作状态,4q3q2q=101,经译码显示为“5”。此外,1q=1,使74ls148 =1,处于禁止状态,封锁其他按键的输入。当按键松开即按下时,74ls148的 此时由于仍为1q=1,使 =1,所以74ls148仍处于禁止状态,确保不会出二次按键时输入信号,保证了抢答者的优先性。如有再次抢答需由主持人将s开关重新置?quot;清除"然后再进行下一轮抢答。表10、1 74ls148的功能真值表
2、定时电路设计
节目主持人根据抢答题的难易程度,设定一次抢答的时间,通过预置时间电路对计数器进行预置,可以选用十进制同步加/减计数器74lsl92进行设计,计数器
图2 可预置时间的定时电路 的时钟脉冲由秒脉冲电路提供。
查看十进制同步加/减计数器74lsl92的功能表和引脚图等使用资料。
3、脉冲产生电路:该电路是由555定时器构成的多谐振荡器,使其产生需要的方波作为触发器和计数器的cp脉冲。
vcc5vxsc1r14rst7r3625conc1c31ufgnddisthrtrivcc8u1abgt3out1555_virtual
图 3 脉冲产生电路
四.仿真图
五.实物图:
六.问题及解决:
1.本次课程设计的接线十分之多,因为在接线时要十分细心,如果不小心就会接错。在实验设计实体连接的过程中,每一步都小心翼翼地做。
2.实验时要注意74系列要接入电源,因为在实验中,我曾经忘记了接电源。使得抢答器的显示灯无法正常显示。在接线时,要看清楚管脚是16个脚还是14个脚,如果是16脚的,一定要接入电源.3.555脉冲源时应该用led灯作显示,、测试脉冲信号输出输入情况,电阻在接入前要测试保证其可以正常工作。最好就是把输出接在led灯上,一直接线。一直观察它的灯亮情况,直到它会正常闪烁为止。说明脉冲源正常工作。
七.心得体会:
通过本次试验,我感受颇深,不仅加强了我原来薄弱的基础知识而且锻炼了我的动手能力。从刚开始的仿真图,到后来的实物连接,再到测试,我体验了人生的五味瓶,每一次失败都是我的良好教训,尤其是测试的时候,发现很多小细节的错误,如接地的线接到电源,芯片圆角的串联,等等等等。更让人揪心的是,所有的线路都检查过后还是不成功,最后是芯片出了问题。三天的时间,在同学的帮助下,老师的指导下还是勉强的完成了倒计时部分,抢答器部分还不能全部实现,望自己在今后的学习中,虚心笃实,再接再厉。
51单片机四路抢答器程序设计 单片机四路抢答器实训报告篇二
#include
for(j=200;j>0;j--);
{
for(k=248;k>0;k--);
} } } void beep(){
beep=1;
delay_100ms(100);
beep=0;
}
void t0_int()interrupt 1
{
static unsigned char count;unsigned char a=1;count++;
th0=(65536-50000)/256;
tl0=(65536-50000)%256;if(count==20){
count=0;
p0=tab[pulse_number1--];
}
beep();
if(pulse_number1==0)
{
p0=tab[0];
tr0=0;
while(a)
{ beep();if(!key5)a=0;
}
}
}
void main(){
bit flag;
temp=0x00;
p2=temp;
p0=0x3f;
tmod=0x01;
th0=(65536-50000)/256;tl0=(65536-50000)%256;et0=1;ea=1;
tr0=1;
while(!flag)
{
}
while(flag);}
if(!key1){p0=0x06;beep();flag=1;tr0=0;}
else if(!key2){p0=0x5b;beep();flag=1;tr0=0;} else if(!key3){p0=0x4f;beep();flag=1;tr0=0;} else if(!key4){p0=0x66;beep();flag=1;tr0=0;}
51单片机四路抢答器程序设计 单片机四路抢答器实训报告篇三
《数字电子技术》
课程设计
题
目:姓
名:学
号:系
别:专
业:年
级:指导教师:
四路竞赛抢答器设计
朱 晔
1408101041 电气工程系
电气工程及其自动化
2014级
年
月 日
设计日期:2016年 6月
目录
摘要·······················································1
一、设计要求························································1 1.1 设计任务·······················································1 1.2 任务要求····················································2 1.3 可选用的器件与原件
二、系统主要功能··········································9
三、系统总体框图··········································10
五、系统硬件设施·············································10 5.1选手按键和led显示电路········10 5.2、时钟产生电路 5.3主持人控制电路 5.4系统总电路
六、系统性能测试与分析 6.1 测试使用的仪器 6.2性能测试与结果分析
七、调试过程遇到问题与解决方法
八、心得体会
九、参考文献
摘要
在日常生活中各种竞赛场合经常要用到抢答器,在电视台和娱乐场所等大型场合中的智力抢答更是不可缺的系统,其利用的是大型显示屏显示数字。抢答器不但在以上场合取到很大的作用,而且在现代制造业中也有很重要的作用。
现代电子技术的飞跃发展,各类智能化产品相应而出,抢答器器也不例外;数字电路具有电路简单、可靠性高、成本低等优点,本设计就以数字电路为核心设计四路抢答器。
而本次课程设计技术目标与要求是设计四路的抢答器,附有简单的抢答功能,不仅可以用于比赛,娱乐抢答,知识竞赛等活动,也可以用于各类需要竞争的场合,抢答器的运用非常附有人性化,应用非常广泛。
实现抢答器功能的方式有多种,可以采用模拟电路、数字电路或模拟混合电路,可以实现多路抢答的功能。现设计一个用数字电路设计的四路抢答器,以74ls175、74ls00、74ls20、555为核心,使其具有反应快、功能齐全、实用性强的特点。
一、设计目的和要求
1.1 设计要求
(1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为s1, s2,s2,s4
(2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。
(3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上相对应的二极管发光,同时封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。
(4)可用555定时器产生频率为1hz的脉冲信号,作为定时计数器的cp信号。按照抢答规则,电路能对第一个抢答开关的回答者迅速作出反应,对应的灯要亮,并且灯亮起之后,该答题者不必长按开关,电路可以自行工作,保持灯亮。其次,当有一盏抢答灯亮起之后么,其他答题者后继的开关按下信号不会使其他灯亮。
最后,当本次答题结束后,主持人的开关可以关闭亮起来的灯,使电路恢复初始状态,等待下一次的抢答。1.2 设计目的
(1)进一步掌握数字电子技术课程所学的理论知识,加深对数字电子技术课程理论知识的理解。
(2)熟悉几种常用集成数字芯片,并掌握其工作原理,进一步学会使用其进行电路设计。
(3)了解数字系统设计的基本思想和方法,学会科学分析和解决问题,有效的提高动手能力,独立分析问题、解决问题的能力,协调能力和创造性思维能力。
(4)培养认真严谨的工作作风和实事求是的工作态度,培养综合运用理论知识解决实际问题的能力。
二、功能介绍
(1)抢答器最多可供4名选手参赛,编号为1—4号,各队分别用一个按钮(分别为s1—s4)控制,并设置一个系统清零和抢答控制开关space,该开关由主持人控制。
(2)抢答器具有数据锁存功能,并将锁存数据用led数码管显示出来,直到主持人清零。
(3)开关space作为清零及抢答控制开关(由主持人控制),当开关space被按下时抢答电路清零,当开关space松开时则允许抢答。输入抢答信号由抢答按钮开关s1—s4实现。
(4)有抢答信号输入(开关s1—s4中的任意一个开关被按下)时,并显示相对应的灯处于亮的状态。此时再按其他任何一个抢答器开关均无效,指示灯依旧“保持” 第一个开关按下时所对应的状态不变。
三、总体方案设计
下图所示为设计方框图。其工作原理为:接通电源后,主持人将开关拨到“清零”状态,抢答器处于禁止状态,编号显示器灯灭,主持人将开关置“开始”状态,宣布“开始”。抢答器工作,选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示。当一轮抢答之后,禁止二次抢答。如果再次抢答必须由主持人再操作“清除”和“开始”状态开关。
四、具体电路设计
4.1 主电路设计
主设计电路如图2,电路选用74ls175、74ls00、74ls20来完成。该电路主要完 成两个功能:一是分辨出选手按键的先后,并锁存抢答者的编号,同时在显示管显示;而且禁止其他选手按键,其按键操作无效。工作过程:开关space按下时,电路断开输入端为零,开关space闭合时,抢答器处于等待工作状态,当有选手将抢答器按键按下时(如按下s2),74ls175的输出镜rs锁存后,74ls175处于工作状态,若发光二极管2亮,ctr=1,使74ls175其他工作端等于1,处于禁止状态,封锁其他按键的输入。当按键松开即按下时,74ls175的此时由于仍为ctr=1,所以74ls175仍处于禁止状态,确保不会出二次按键时输入信号,保证了抢答者的优先性。如果再次抢答需要主持人将space开关重新按下然后才能进行。
具体的电路连线如下图
五、设计原理
设计的电路中ls175为一四路的锁存器,当clk引脚输入上升沿时,d1-d4被锁存到输出端(q1-q4)。在clk其他状态时,输出与输入无关。其异步复位端为低电平时,q1-q4输出为低,/q1-/q4输出为高。
电路上电后,按下复位按键,/q1-/q4输出高电平,与之相连接的指示用的四个led全熄灭。同时用它们作为输入的与非门u1a输出为低电平。电路进入准备状态。这时,假设有按键s2被按下,与非门u1b的输出将由低变成高电平,形成一个上升沿经过两个非门的延迟后作为175clk的输入。clk得到上升沿后会将d1上的数据锁存,这时由于s2是按下的,d1上应为高电平,故175的/q1输出为低电平。对应得led被点亮,同时与非门u1a的输出也变为高电平。它为高电平后,按键的两端都为高电平,故按下除复位之外的任何的按键都将不会发生电路状态的变化,即输入被锁定。达到了既定的功能目标。
六、主要器件介绍
7.1 74ls175 四d触发器
74ls175为四输入八输出的d触发器,输入端口为d1、d2、d3、d4,输出端口为
q1、q2、q3、q4和/q1,/q2,/q3,/q4
7.2 74ls20与非门
4个输入与非门,内含两组4与非门
第一组:1,2,4,5输入,6输出
第二组:9,10,12,13输入6输出
7.3 74ls00
二输入与非门
7.4 555的工作原理
它含有两个电压比较器,一个基本rs触发器,一个放电开关t,比较器的参考电压由三只5kω的电阻器构成分压,它们分别使高电平比较器c1同相比较端和低电平比较器c2的反相输入端的参考电平为2/3vcc和1/3vcc。c1和c2的输出端控制rs触发器状态和放电管开关状态。当输入信号输入并超过2/3vcc时,触发器复位,555的输出端3脚输出低电平,同时放电,开关管导通;当输入信号自2脚输入并低于1/3vcc时,触发器置位,555的3脚输出高电平,同时放电,开关管截止。
九、实验步骤及操作
9.1 检测与查阅器件
通过检测看看元器件是否完好,并在电路板上标出编号,便于连线。9.2 连接电路
先在实验电路板上插好器件,在插接器件时,要注意芯片的方向,做好焊接工作,同时保证芯片的管脚与插座接触良好,管脚不能弯曲或折损,指示灯的正负极不能接反。在通电前先用万用表检测各芯片的电路接线是否正确,是否连通。
9.3 电路调试
首先按抢答器功能进行操作,若电路满足要求,说明电路没有故障,若某些功能不能实现就要设法查找并排除故障,排除故障可按信息流程的正向(由输入到输出),也可按照信息流程逆向(由输出到输入)查找。例如:当有抢答信号输入时,观察对应指示灯是否点亮,若不良,可用万用表分别测量先关与非门输入,输出端电平状态是否正确,由此检验线路的连接和芯片的好坏。
若抢答开关按下时指示灯亮,松开时又灭掉,说明电路不能保持,此时应该检查与非门相互连接是否正确,直到排除所有故障为止。
十、实验困难问题及解决措施
10.1困难一
由总电路可以看到,本次课程设计的接线十分之多,因为在接线时要十分细心,如果不小心就会接错。在实验设计实体连接的过程中,每一步都小心翼翼地做。一条线接错或者一条线在过程中不小心被动到,都有可能让整个电路没有反应,所以每一步都必须要小心,没有人希望重新连接一次,器件和线路实在是多,最好能够先把电路划分清晰,就是尽量明显的把个各块独立的电路组成部分分开得明显些,这样就算接错了也可以大概检查各块输出就知道哪里出问题,减少检查的范围和数量,更省时省力。10.2困难二
实验时要注意74系列要接入电源,因为在实验中,我曾经忘记了接电源。使得抢答器的显示灯无法正常显示。在接线时,要看清楚管脚是16个脚还是14个脚,如果是16脚的,一定要接入电源。电源跟地一定要接对,有一次因为接错电源,浪费了半个钟头。
10.3困难三
555脉冲源时应该用led灯作显示,、测试脉冲信号输出输入情况,电阻在接入前要测试保证其可以正常工作。最好就是把输出接在led灯上,一直接线。一直观察它的灯亮情况,直到它会正常闪烁为止。说明脉冲源正常工作。10.4困难四
开关处理一定要好,要测好脚,特别是六脚开关,防止接错。蹲点一定要仔细,小心,防止过大出现短路问题。电阻断了,重新接也要特别留意。用万用表测要注意开关打开。弄好后要标好正负极,以免在测试中接反。
十一、设计成品的优点与不足
11.1优点
元器件较少,功能满足要求,实用性强。
11.2不足
(1)在设计时,用了d触发器来进行抢答信号的筛选和锁存,在同时按下s1和s2时(其同时按下的几率较小,但情况还是会存在的)会显示两个灯亮,违反抢答的原理。
(2)估计是74ls175有问题,锁存功能时好时坏,有的时候,需抢答者一直按着,其他抢答者按键才无效,实现抢答功能。
(3)电路跳线较多,使得电路板外观不好。
11.3改良
焊线路前应细心考虑元件的排布和线路的连接,可改用编码器和锁存器来代替d触发器。需要更换坏了的,接触不好的元器件。
在焊接前要画好连接方式,巧妙地设计,使电路板变得更加美观,给参观者赏心悦目的感觉。
心得体会
持续近一个星期的课程设计结束了,设计虽然有一些小毛病,但总体上还是很成功的。一周的时间虽然很短暂,但从中获益匪浅.毕竟在课堂上学习的内容真真正正能用到实际是有点超乎我们的想象,而且要把理论知识付诸实践确实非一
件易事。首先对数字电路这门课程有了更深的了解,因为课程设计本身要求将以前所学的理论知识运用到实际的电路设计当中去,在电路的设计过程中,无形中便加深了对数字电路的了解及运用能力,对课本以及以前学过的知识有了一个更好的总结与理解;以前的数字实验只是针对某一个小的功能设计,而课程设计对我们的总体电路的设计的要求更严格,需要通过翻阅复习以前学过的知识确立了实验总体设计方案,然后逐步细化进行各模块的设计。这一课程设计使我们将课堂上的理论知识有了进步的了解,并增强了对数字电子技术这门课程的兴趣。了解了更多电子元件的工作原理,如:74ls175、74ls00、74ls20、555等。但同时也暴露出我在知识上掌握不足等缺点。在以后的学习生活中,我会努力学习,培养自己独立思考的能力,积极参加多种设计活动,培养自己的综合能力,从而使得自己成为一个有综合能力的人才而更加适应社会。
参考文献
【1】徐秀平•数字电路与逻辑设计•北京:电子工业出版社,2010
【2】廖惜春•模拟电子技术基础•武汉:华中科技大学出版社,2008 【3】康华光
51单片机四路抢答器程序设计 单片机四路抢答器实训报告篇四
目录 前言............................................................................................................................1 2 抢答器的设计要求及分析........................................................................................2
2.1 抢答器的设计要求..........................................................................................2 2.2 抢答器的设计分析..........................................................................................2 3 抢答器的设计............................................................................................................4
3.1 抢答鉴别模块..................................................................................................4
3.1.1 抢答鉴别模块源程序............................................................................4 3.1.2 抢答鉴别模块程序仿真........................................................................4 3.2 抢答计时模块..................................................................................................4
3.2.1 抢答计时模块源程序............................................................................4 3.2.2 抢答计时模块程序仿真........................................................................4 3.3 抢答计分模块..................................................................................................5
3.3.1 抢答计分模块源程序............................................................................5 3.3.2 抢答计分模块程序仿真........................................................................5 3.4 综合模块..........................................................................................................6
3.4.1 例化源程序............................................................................................6 3.4.2 例化程序仿真........................................................................................6 抢答器的硬件测试....................................................................................................7
4.1 抢答器的引脚绑定..........................................................................................7 4.2 在cpldfpga实验系统上下载,进行硬件验证情况..............................8 5 quartus ⅱ软件及vhdl语言介绍.........................................................................9 5.1 quartus ⅱ软件介绍........................................................................................9 5.2 vhdl语言简介.............................................................................................10 心得体会......................................................................................................................11 参考文献......................................................................................................................12
课程设计说明书 前言
eda技术作为现代电子设计最新技术的结晶,其广阔的应用前景和深远的影响已毋庸置疑,它在信息工程类专业中的基础地位和核心作用也逐渐被人们所认识。许多高等学校开设了相应的课程,并为学生提供了课程设计、综合实践、电子设计竞赛、毕业设计、科学研究和产品开发等eda技术的综合应用实践环节。相关的工程技术人员也特别重视学习eda技术,并渴望提高其工程应用能力。
对于迅猛发展的eda技术的综合应用,从eda技术的综合应用系统的深度来分,可分为3个层次:
(1)功能电路模块的设计;(2)算法实现电路模块的设计;
(3)片上系统/嵌入式系统/现代dsp系统的设计。
从eda技术的综合应用系统的最终主要硬件构成来分,已出现6种形式:(1)cpld/fpga系统;
(2)“cpld/fpga+mcu”系统;
(3)“cpld/fpga+专用dsp处理器”系统;(4)基于fpga实现的现代dsp系统;(5)基于fpga实现的soc片上系统;(6)基于fpga实现的嵌入式系统。
从eda技术的综合应用系统的完善层次来分,可分为3个层次:(1)“eda综合系统”主体电路的设计、仿真及硬件验证;
(2)“eda综合系统”主体电路的设计、仿真、硬件验证+系统外围电路pcb的设计与制作;
(3)“eda综合系统”主体电路的设计、仿真、硬件验证+系统整体电路pcb的设计与制作及系统的组装、调试。
课程设计说明书
qiangdaqi:u1a0b0c0clkclrd0starta0b0c0clkclrd0startalm1asg[3..0]ansclkclrenasg[3..0]jifen:u3fen1[3..0]fen2[3..0]fen3[3..0]fen4[3..0]fen1[3..0]fen2[3..0]fen3[3..0]fen4[3..0]asg[3..0]alm1jishi:u2clkclralm2qa[3..0]qb[3..0]enldntatbenldntatbalm2qa[3..0]qb[3..0]ans 图2-1 抢答器电路原理
课程设计说明书
3.2.2 抢答计时模块程序仿真
通过en、ldn,调节ta、tb,使得初始值为37。
图3-2 抢答计时模块仿真
倒计时为00时,数码显示将不继续倒计时。
图3-3 抢答计时模块仿真
3.3 抢答计分模块
3.3.1 抢答计分模块分析
每组原始分数记为5分,根据抢答电路的抢答成功的组别以及回答问题ans,确定加分情况。其中ans=1,分数加1;ans=0,分数不变。
3.3.2 抢答计分模块程序仿真
课程设计说明书
图3-6 顶层文件仿真
b组抢答成功后,在计时时间内没有回答完问题,扬声器2发出声音。抢答器的硬件测试
4.1 抢答器的引脚绑定
由于抢答器引脚较多,故分为两部分截图得引脚绑定图
课程设计说明书 quartus ⅱ软件及vhdl语言介绍
5.1 quartus ⅱ软件介绍
quartusⅱ软件包是美国altera公司的第四代eda开发软件。其提供了一个一种与结构无关的设计环境,非常适应具体的设计需要。quartusⅱ提供了方便的设计输入方式、快速的编译和直接易懂的器件编程。能够支持逻辑门数在百万门以上的逻辑器件的开发,并且为第三方工具提供了无缝接口。quartusⅱ支持的器件有:stratixⅱ、stratix gx、stratix、mercury、max3000a、max7000b、max7000s、max 7000ae、maxⅱ、flex6000、flex10k、flex10ka、flex10ke、cyclone、cycloneⅱ、apexⅱ、apex20kc、apex20ke和acex1k系列。
quartusⅱ软件包的编程器是系统的核心,提供功能强大的设计处理,设计者可以添加特定的约束条件来提高芯片的利用率。在设计流程的每一步,quartusⅱ软件能够引导设计者将注意力放在设计上,而不是软件的使用上。同时,自动的错误定位、完备的错误和警告信息,使设计修改变得简单容易。另外,quartusⅱ可与matlab的simulink和dsp builder结合,是开发dsp硬件系统的关键
课程设计说明书
心得体会
本次的eda课程设计历时一个星期,时间虽短,但通过一个星期的实践,使我对eda技术有了更进一步的了解。同时,大致懂得了一个课题制作的具体流程和实施方法。另外,课程设计对quartusⅱ软件的使用要求较高,从而使我能较为熟练的运用此软件。在设计时,采用模块化的设计思路使得问题变的简单明了,大大缩短了时间,降低了发生错误的机侓,也便于修改和更新。
在写程序的过程中,遇到很多的困难,主要就是对程序的不理解,并且在进行仿真和调试中也遇到很多的困难,暴露出在知识上的掌握不足,主要是对仿真波形的理解不够透彻,也有很多的失败,但在调试中每修改一个小的错误,使其成功的表达这个程序的含义,心中的成就感就徒增。
在这次设计中,理论与实践相结合起来,在老师的指导下和查询资料学习中,有些关键的问题才得以解决,在困难面前,不要气馁,勇敢的面对,坚持一下,最终一定会取的成功,锻炼自己的能力,对以后走的路有了更清楚的认识,也有了更多的信心,同时也培养了团队合作精神和团队协作能力。在设计过程中遇到一些问题,使得我得查找相关资料,从而增长知识的同时增强解决问题和动手的能力,锻炼我做事细心、用心、耐心的态度。所以在以后的学习生活中,我会努
课程设计说明书
附录
----------抢答部分----------library ieee;
use ;use ;entity qiangdaqi is port(clk,a0,b0,c0,d0:in std_logic;
start,clr:in std_logic;
asg:out std_logic_vector(3 downto 0);
alm1:out std_logic);end qiangdaqi;architecture behav of qiangdaqi is
signal d1,d2,d3,d4:std_logic;
begin
process(clk,a0,b0,c0,d0,start,clr,d1,d2,d3,d4)
begin
if(clr='1')then d1<='0';d2<='0';d3<='0';d4<='0';asg<=“0000”;alm1<='0';else if(start='1')then
if(clk'event and clk='1')then
if(a0='1')and not(d2='1' or d3='1' or d4='1')then
asg<=“0001”;alm1<='1';d1<='1';
elsif(b0='1')and not(d1='1'or d3='1'or d4='1')then
asg<=“0010”;alm1<='1';d2<='1';
elsif(c0='1')and not(d1='1' or d2='1' or d4='1')then
asg<=“0011”;alm1<='1';d3<='1';
elsif(d0='1')and not(d1='1' or d2='1' or d3='1')then
asg<=“0100”;alm1<='1';d4<='1';
end if;
课程设计说明书
if(clr='1')then
db<=5;
elsif(tb'event and tb='1')then
if(ldn='1')then
if(db=0)then
db<=9;
else
db<=db-1;
end if;
end if;
end if;end process;process(clk)
variable tmpa: integer range 0 to 9;
variable tmpb: integer range 0 to 9;
variable alm: std_logic;
begin
if(clr='1')then
tmpa:=9;
tmpb:=5;
alm:='0';
elsif(clk'event and clk='1')then
if(en='0')then
tmpa:=da;
tmpb:=db;
elsif(tmpa=0)then
if(tmpb=0)then
tmpa:=0;
alm:='1';
else
tmpa:=9;
end if;
if(tmpb=0)then
tmpb:=0;
else
课程设计说明书
elsif(ans ='0')then
if(fen1 =“0000”)then
fen1 <=“0000”;
else fen1 <= fen1-1;
end if;
end if;
when “0010” =>
if(ans ='1')then
if(fen2 =“1111”)then
fen2 <=“0000”;
else fen2 <= fen2+1;
end if;
elsif(ans ='0')then
if(fen2 =“0000”)then
fen2 <=“0000”;
else fen2 <= fen2-1;
end if;
end if;
when “0011” =>
if(ans ='1')then
if(fen3 =“1111”)then
fen3 <=“0000”;
else fen3 <= fen3+1;
end if;
elsif(ans ='0')then
if(fen3 =“0000”)then
fen3 <=“0000”;
else fen3 <= fen3-1;
end if;
end if;
when “0100” =>
if(ans ='1')then
if(fen4 =“1111”)then
fen4 <=“0000”;
else fen4 <= fen4+1;
课程设计说明书
component jishi is
port(clr,ldn,en,clk:in std_logic;
ta,tb:
in std_logic;
qa,qb:
out integer range 0 to 9;
alm2:
out std_logic);end component;---------component jifen is port(clr,clk,en,ans:in std_logic;
asg:in std_logic_vector(3 downto 0);
fen1,fen2,fen3,fen4:buffer integer range 0 to 15);end component;------------begin u1:qiangdaqi port map(clk,a0,b0,c0,d0,start,clr,asg,alm1);u2:jishi port map(clr,ldn,en,clk,ta,tb,qa,qb,alm2);u3:jifen port map(clr,clk,en,ans,asg,fen1,fen2,fen3,fen4);end behav;
51单片机四路抢答器程序设计 单片机四路抢答器实训报告篇五
plc控制四路抢答器课程设计
市场上有许许多多种抢答器,但功能却各不相同,电路也形形色色,而所选元件也各不相同。笔者设计了一款用plc控制的抢答器,该抢答器集抢答、声音警示、灯光指示和计时于一身,借助较少的外围元件完成抢答的整个过程,选用的是(omron)生产的c20p型plc设计制作了四路抢答器,该设计编程简单,容易理解掌握,且工作稳定可靠。总体电路简单,易于制作。
1、系统工作原理 1.1 控制要求
(1)竞赛者若要回答主持人所提问题时,须抢先按下桌上的抢答按钮;
(2)绿色指示灯亮后,须等主持人按下复位按钮pb5后,指示灯才熄灭;
(3)如果竞赛者在主持人打开sw1开关10 s内抢先按下按钮,电磁线圈将使彩球摇动,以示竞赛者得到一次幸运的机会;
(4)如果在主持人打开sw1开关10 s内无人抢答,则必须有声音警示,同时红色指示灯亮,以示竞赛者放弃该题;
(5)在竞赛者抢答成功后,应限定一定的时间回答问题,根据题目难易可设定时间(如2 min);
(6)当主持人打开sw2开关后记时开始,如果竞赛者在回答问题时超出设定时限,则红色指示灯亮并伴有声音提示,竞赛者停止回答问题。1.2 选定输入、输出设备
输入设备
输入端子号
抢答按钮 pb11 0000
抢答按钮 pb12 0001
抢答按钮 pb21 0002抢答按钮
抢答按钮 pb31 0004
抢答按钮 pb32 0005
抢答按钮 pb41 0006
抢答按钮 pb42 0007
复位按钮 pb5 0008
选择开关 sw1 0009
限时开关 sw2 0010
输出设备
输出端子号
绿色指示灯l1输出
0500
绿色指示灯l2输出
0501
绿色指示灯l3输出
0502
绿色指示灯l4输出
0503
红色指示灯l5输出
0504
红色指示灯l6输出
0505
pb22 0003
电磁开关sol输出
0506
回答限时声音输出
0508
2、系统软件设计[1~3] 2.1 控制梯形图
系统控制梯形图如图1所示。
2.2 工作过程
(1)由于0500使用他的自身触点(常开触点),在0000或0001闭合后仍保持在on状态(自锁)。同时,将其常闭触点串入其他各回路中,在0500接通后,他的常闭触点打开,切断其他抢答回路(互锁);
(2)0501,0502和0503以同样方式动作,自锁继电器在复位按钮pb5再次动作时将清零;
(3)机会选择开关sw1使0009闭合后,10 s定时器tim00启动;
(4)如果0500,0501,0502和0503在10 s定时器tm00动作之前任何一个闭合,则0506变为on以示抢答成功,同时切断10 s计时显示输出回路,否则输出声音提示,以示竞赛者放弃该题;
(5)常开触点0009断开后,自锁继电器和定时器tim00将清零;
(6)抢答成功后,主持人闭合限时开关sw2使 0010闭合后,2 min定时器tim01启动,时间到0505和0508闭合,红灯亮并有声音提示停止回答;
(7)常开触点0010断开后,定时器tim01清零,为下一轮抢答做好准备。2.3 程序指令
程序指令如表1所示。
2.4 外部接线图
外部接线如图2所示。
3、适当扩展
如果给电路加入适当的编、译码器件,就可以将红、绿灯指示变为直观的数字显示,对外围电路稍加修改,就可以变成多路多人抢答器,如六路或十路等,改为多路多人抢答器,可以在梯形图中再加入两路或六路分支即可。去掉程序中的互锁和抢答限时功能,可以将抢答器改成呼叫器,可以用在医院的病房、工厂的车间等多种地方。